$original_tag#

No help message for this cell type found.

Simulation model (Verilog)#

Listing 330 simlib.v:2785#
module \$original_tag (A, Y);

    parameter TAG = "";
    parameter WIDTH = 0;

    input [WIDTH-1:0] A;
    output [WIDTH-1:0] Y;

    assign Y = A;

endmodule

Note

This page was auto-generated from the output of help $original_tag.