$memrd#

No help message for this cell type found.

Simulation model (Verilog)#

Listing 317 simlib.v:2384#
module \$memrd (CLK, EN, ADDR, DATA);

    parameter MEMID = "";
    parameter ABITS = 8;
    parameter WIDTH = 8;

    parameter CLK_ENABLE = 0;
    parameter CLK_POLARITY = 0;
    parameter TRANSPARENT = 0;

    input CLK, EN;
    input [ABITS-1:0] ADDR;
    output [WIDTH-1:0] DATA;

    initial begin
        if (MEMID != "") begin
            $display("ERROR: Found non-simulatable instance of $memrd!");
            $finish;
        end
    end

endmodule

Note

This page was auto-generated from the output of help $memrd.