$dff#

No help message for this cell type found.

Simulation model (Verilog)#

Listing 284 simlib.v:1938#
module \$dff (CLK, D, Q);

    parameter WIDTH = 0;
    parameter CLK_POLARITY = 1'b1;

    input CLK;
    input [WIDTH-1:0] D;
    output reg [WIDTH-1:0] Q;
    wire pos_clk = CLK == CLK_POLARITY;

    always @(posedge pos_clk) begin
        Q <= D;
    end

endmodule

Note

This page was auto-generated from the output of help $dff.