$future_ff#

No help message for this cell type found.

Simulation model (Verilog)#

Listing 299 simlib.v:2799#
module \$future_ff (A, Y);

    parameter WIDTH = 0;

    input [WIDTH-1:0] A;
    output [WIDTH-1:0] Y;

    assign Y = A;

endmodule

Note

This page was auto-generated from the output of help $future_ff.