$allseq#

No help message for this cell type found.

Simulation model (Verilog)#

Listing 269 simlib.v:1817#
module \$allseq (Y);

    parameter WIDTH = 0;

    output [WIDTH-1:0] Y;

    assign Y = 'bx;

endmodule

Note

This page was auto-generated from the output of help $allseq.