$allconst#

No help message for this cell type found.

Simulation model (Verilog)#

Listing 268 simlib.v:1805#
module \$allconst (Y);

    parameter WIDTH = 0;

    output [WIDTH-1:0] Y;

    assign Y = 'bx;

endmodule

Note

This page was auto-generated from the output of help $allconst.