$ff#

No help message for this cell type found.

Simulation model (Verilog)#

Listing 297 simlib.v:1922#
module \$ff (D, Q);

    parameter WIDTH = 0;

    input [WIDTH-1:0] D;
    output reg [WIDTH-1:0] Q;

    always @(`SIMLIB_GLOBAL_CLOCK) begin
        Q <= D;
    end

endmodule

Note

This page was auto-generated from the output of help $ff.