$memwr#

No help message for this cell type found.

Simulation model (Verilog)#

Listing 319 simlib.v:2437#
module \$memwr (CLK, EN, ADDR, DATA);

    parameter MEMID = "";
    parameter ABITS = 8;
    parameter WIDTH = 8;

    parameter CLK_ENABLE = 0;
    parameter CLK_POLARITY = 0;
    parameter PRIORITY = 0;

    input CLK;
    input [WIDTH-1:0] EN;
    input [ABITS-1:0] ADDR;
    input [WIDTH-1:0] DATA;

    initial begin
        if (MEMID != "") begin
            $display("ERROR: Found non-simulatable instance of $memwr!");
            $finish;
        end
    end

endmodule

Note

This page was auto-generated from the output of help $memwr.