$live#

No help message for this cell type found.

Simulation model (Verilog)#

Listing 306 simlib.v:1720#
module \$live (A, EN);

    input A, EN;

endmodule

Note

This page was auto-generated from the output of help $live.